@@ -51,16 +51,16 @@ void normalize(hls::stream<data_T> &data, hls::stream<res_T> &res, typename CONF
51
51
// Merged Batch Normalization and Quantized Tanh
52
52
// ****************************************************
53
53
template <class data_T , typename CONFIG_T>
54
- void normalize_binary_tanh (hls::stream<data_T> &data, hls::stream<nnet::array<ap_uint<1 >, CONFIG_T::n_in >> &res,
55
- typename data_T::value_type threshold[CONFIG_T::n_in ]) {
54
+ void normalize_binary_tanh (hls::stream<data_T> &data, hls::stream<nnet::array<ap_uint<1 >, CONFIG_T::n_scale_bias >> &res,
55
+ typename data_T::value_type threshold[CONFIG_T::n_scale_bias ]) {
56
56
#pragma HLS ARRAY_PARTITION variable=threshold complete
57
57
58
58
BinaryNormLoop:
59
59
for (int i = 0 ; i < CONFIG_T::n_in / data_T::size; i++) {
60
60
#pragma HLS PIPELINE
61
61
62
62
data_T in_data = data.read ();
63
- nnet::array<ap_uint<1 >, CONFIG_T::n_in > out_data;
63
+ nnet::array<ap_uint<1 >, CONFIG_T::n_scale_bias > out_data;
64
64
PRAGMA_DATA_PACK (out_data)
65
65
66
66
BatchNormPack:
@@ -74,9 +74,9 @@ void normalize_binary_tanh(hls::stream<data_T> &data, hls::stream<nnet::array<ap
74
74
}
75
75
76
76
template <class data_T , typename CONFIG_T>
77
- void normalize_ternary_tanh (hls::stream<data_T> &data, hls::stream<nnet::array<ap_int<2 >, CONFIG_T::n_in >> &res,
78
- typename data_T::value_type threshold_hi[CONFIG_T::n_in ],
79
- typename data_T::value_type threshold_lo[CONFIG_T::n_in ]) {
77
+ void normalize_ternary_tanh (hls::stream<data_T> &data, hls::stream<nnet::array<ap_int<2 >, CONFIG_T::n_scale_bias >> &res,
78
+ typename data_T::value_type threshold_hi[CONFIG_T::n_scale_bias ],
79
+ typename data_T::value_type threshold_lo[CONFIG_T::n_scale_bias ]) {
80
80
#pragma HLS ARRAY_PARTITION variable=threshold_hi complete
81
81
#pragma HLS ARRAY_PARTITION variable=threshold_lo complete
82
82
@@ -85,7 +85,7 @@ void normalize_ternary_tanh(hls::stream<data_T> &data, hls::stream<nnet::array<a
85
85
#pragma HLS PIPELINE
86
86
87
87
data_T in_data = data.read ();
88
- nnet::array<ap_int<2 >, CONFIG_T::n_in > out_data;
88
+ nnet::array<ap_int<2 >, CONFIG_T::n_scale_bias > out_data;
89
89
PRAGMA_DATA_PACK (out_data)
90
90
91
91
BatchNormPack:
0 commit comments