Bug interpretar metacommits en entity #1
Add this suggestion to a batch that can be applied as a single commit.
This suggestion is invalid because no changes were made to the code.
Suggestions cannot be applied while the pull request is closed.
Suggestions cannot be applied while viewing a subset of changes.
Only one suggestion per line can be applied in a batch.
Add this suggestion to a batch that can be applied as a single commit.
Applying suggestions on deleted lines is not supported.
You must change the existing code in this line in order to create a valid suggestion.
Outdated suggestions cannot be applied.
This suggestion has been applied or marked resolved.
Suggestions cannot be applied from pending reviews.
Suggestions cannot be applied on multi-line comments.
Suggestions cannot be applied while the pull request is queued to merge.
Suggestion cannot be applied right now. Please check back later.
Hello
I using the version of the branch entity:
I ran into a problem when in vhdl, in the port listing, when using the structure: (using by symbolator).
"-- # {{data | data}}"
If spaces are added at the end it is not recognized as section_meta.
I have modified the regular expression so that
-> If you write:
"-- # {{data | data}} others text..." -> No section_meta.
"-- # {{data | data}}" -> without space -> Section_meta.
"-- # {{data | data}} " -> With space -> Section_meta
Thank you
Regards
for example
` library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity entity_temp is
generic (
G_WITH : integer
);
port (
-- Normal Comment
--# {{clocks|Clocking_no_valid}} No Valid
CLK : in std_logic;
--# {{clocks|Clocking Valid}}
CLK_2 : in std_logic;
--# {Reset no valid}
Reset_n : in std_logic;
--# {{data|Input Signal}}
Data_in : in std_logic_vector(G_WITH-1 downto 0);
--# {{data|Output Signal}}
Data_out : out std_logic_vector(G_WITH-1 downto 0)
);
end entity;
`