Skip to content

Bug interpretar metacommits en entity #1

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Open
wants to merge 2 commits into
base: entity
Choose a base branch
from

Conversation

JacoboSpain
Copy link

@JacoboSpain JacoboSpain commented Apr 10, 2020

Hello
I using the version of the branch entity:
I ran into a problem when in vhdl, in the port listing, when using the structure: (using by symbolator).
"-- # {{data | data}}"
If spaces are added at the end it is not recognized as section_meta.
I have modified the regular expression so that
-> If you write:
   "-- # {{data | data}} others text..." -> No section_meta.
   "-- # {{data | data}}" -> without space -> Section_meta.
   "-- # {{data | data}} " -> With space -> Section_meta

Thank you

Regards

for example

` library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;

entity entity_temp is
generic (
G_WITH : integer
);
port (
-- Normal Comment
--# {{clocks|Clocking_no_valid}} No Valid
CLK : in std_logic;
--# {{clocks|Clocking Valid}}
CLK_2 : in std_logic;
--# {Reset no valid}
Reset_n : in std_logic;
--# {{data|Input Signal}}
Data_in : in std_logic_vector(G_WITH-1 downto 0);
--# {{data|Output Signal}}
Data_out : out std_logic_vector(G_WITH-1 downto 0)
);
end entity;
`

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

1 participant