Open
Description
I don't know why I can't handle expressions like |=> and |->. According to the manual, it should be supported.
Here is my code:
property p_push_wr_ptr;
@(posedge clk)
push && !full |=> wr_ptr == ($past(wr_ptr) + 1);
endproperty
assert property (p_push_wr_ptr);
Here is the error message:
file fifo.sv line 50: no conversion for binary expression sva_non_overlapped_implication
CONVERSION ERROR
Why does this happen?
Metadata
Metadata
Assignees
Labels
No labels